书籍详情

SystemC片上系统设计

SystemC片上系统设计

作者:陈曦,徐宁仪编著

出版社:科学出版社

出版时间:2004-01-01

ISBN:9787030122926

定价:¥30.00

购买这本书可以去
内容简介
  SystemC是被实践证明的优秀的系统设计描述语言,它能够完成从系统到门级、从软件到硬件、从设计到验证的全部描述。SystemC 2.01己作为一个稳定的版本提交到IEEE,申请国际标准。 本书为配合清华大学电子工程系SystemC相关课程的教学而编写。全书分9章,内容包括:硬件描述语言的发展史;SystemC出现的历史背景和片上系统设计方法学概述;SystemC的基本语法;SystemC的寄存器传输级设计和SystemC的可综合语言子集,以及根据作者设计经历归结的RTL设计准则和经验;接口、端口和通道等SystemC行为建模的基础、交易级建模和通信细化;SystemC的Master-Slave通信库;一个SystemC TLM建模实例——片上总线系统;SystemC与VHDL/Verilog HDL的比较;SystemC的验证标准和验证方法学;SystemC开发工具SystemC_win、WaveViewer等,以及使用MATLAB进行SystemC算法模块的验证。每一章都精必编写了课后习题以配合教学的需要。本书可作为大学电子设计自动化(EDA)相关课程教材,也可供电子工程技术人员作为SystemC设计、应用开发的技术参考书。本书丰富的实例源代码特别适合初学者根据内容实际运行、体会,举一反三,以掌握SystemC进行应用系统设计。书中全部源代码可以从http://www.sciencep.com上获得。
作者简介
暂缺《SystemC片上系统设计》作者简介
目录
第1章电子系统设计方法学和系统级描述语言概述
1.1片上系统对设计描述语言的要求
1.2传统硬件描述语言VerilogHDL和VHDL
1.3SystemC的历史
1.4SystemC到底是什么
1.5基于SystemC的设计流程
1.6一个“Hello,SystemC!”建模实例
1.7SystemC的系统描述能力
1.8SystemC的开发工具
1.9使用VisualC++编辑和编译SystemC设计
1.10利用ModelSim查看SysternC产生的波形文件
习题
第2章SystemC基本语法
2.1模块
2.1.1模块的定义
2.1.2模块的端口
2.1.3模块的信号
2.1.4位置关联
2.1.5名字关联
2.1.6模块内部数据
2.1.7模块的构造函数
2.1.8模块的析构函数
2.2端口和信号
2.2.1端口和信号的基本概念
2.2.2端口和信号的读写
2.2.3端口和信号类型
2.2.4端口和信号的多驱动处理
2.2.5端口和信号的绑定
2.2.6SystemC时钟模型
2.2.7SystemC时间模型
2.3基本数据类型
2.3.1scbit和sclogic数据类型
2.3.2固定精度整型数据类型scint和scuint
2.3.3任意精度整型数据类型sc_bigint和sc_biguint
2.3.4任意长度比特和逻辑向量
2.3.5用户自定义类型
2.4定点数据类型
2.4.1SystemC定点数据类型
2.4.2定点数据的字长和整数部分字长
2.4.3定点数据的量化模式
2.4.4量化模式SCRND
2.4.5量化模式SCRNDZERO
2.4.6量化模式SCRNDMININF
2.4.7量化模式SCRNDINF
2.4.8量化模式SCRNDCONV
2.4.9量化模式SCTRN
2.4.10量化模式SCTRNZERO
2.4.11定点数据的溢出模式
2.4.12溢出模式SCSAT
2.4.13溢出模式SCSATZERO
2.4.14溢出模式SCSATSYM
2.4.15溢出模式SCWRAP
2.4.16溢出模式SCWRAPSM
2.4.17定点数据类型支持的运算符
2.4.18定点数据类型的状态信息
2.4.19将定点数据类型转换为字符串
2.4.20一个定点FIR滤波器设计实例
2.5进程
2.5.1SystemC进程基础
2.5.2方法进程SCMETHOD
2.5.3线程进程SCTHREAD
2.5.4钟控线程进程
2.5.5wait_until().wait()和next_trigger()
2.5.6watching结构
2.5.7局部watching
2.6仿真与波形跟踪
2.6.1SystemC设计的顶层函数sc_main()
2.6.2仿真控制
2.6.3SystemC波形跟踪概述
2.6.4创建和关闭波形跟踪文件
2.6.5跟踪标量型变量和信号
2.6.6跟踪聚合型变量和信号
2.6.7仿真和波形跟踪实例
习题
第3章寄存器传输级SystemC设it
3.1SystemC寄存器传输级设计和综合
3.1.1什么是综合
3.1.2为什么要用SystemC进行RTL建模
3.2RTL风格的SystemC编程
3.2.1定义模块和进程
3.2.2创建模块
3.2.3定义敏感表
3.2.4信号和变量的读写
3.3SystemC的可综合语言子集和可综合数据类型
3.3.1可综合语言子集详解
3.3.2可综合的数据类型详解
3.3.3可综合修改建议
3.4可综合RTL编程参考实例
3.4.1寄存器建模
3.4.2三态逻辑建模
3.4.3组合逻辑建模
3.4.4有限状态机建模
习题
第4章SystemC行为建模
4.1行为级建模的目的
4.2接口.端口和通道的基本概念
4.3接口
4.3.1接口的定义
4.3.2存储器接口实例
4.3.3接口基类scinterface
4.4端口
4.4.1自定义端口
4.4.2一个端口实例
4.4.3端口基类scport
4.4.4一个连接到多个接口的端口实例
4.4.5直接通道调用
4.5通道基础
4.5.1端口与通道的关联
4.5.2通道的同步规则
4.5.3静态规则检查
4.5.4动态规则检查
4.5.5通道的属性
4.6基本通道
4.6.1sc_signal.sc_signal_rv和sc_buffer
4.6.2sc_mutex
4.6.3sc_fifo
4.6.4sc_semaphore
4.7分层通道
4.7.1分层通道的定义
4.7.2一般分层通道
4.7.3特殊分层通道
4.8系统建模中的分层模型
4.8.1系统建模中通信的抽象层次
4.8.2寄存器传输层
4.8.3传输层
4.8.4交易层
4.8.5消息层
4.9SystemC的交易级建模
4.9.1交易的概念
4.9.2嵌入式软件开发与交易级建模
4.9.3交易级建模用于系统结构探索
4.9.4SystemC交易级建模的特点
4.10通信细化
4.10.1通信细化的概念
4.10.2一个通信细化实例
习题
第5章SystemC的Master-Slave通信库
5.1SystemCMaster-Slave通信库综述
5.2SystemCMaster-Slave通信库的安装
5.3功能级的Master-Slave通信库
5.3.1一个Master-Slave通信的简单实例
5.3.2串行信道sc_linkmp和内嵌执行语法简介
5.3.3主.从端口语法
5.3.4从进程语法
5.3.5多点通信中的内嵌执行语法
5.3.6并行通信与主-从通信的结合
5.3.7通信端口连接规则和实例
5.3.8抽象端口类详解
5.4总线周期精确级的Master-Slave通信库
5.4.1利用总线协议进行通信细化
5.4.2模块细化
5.4.3信道细化
5.4.4预定义的总线协议
5.4.5端口追踪
5.4.6用户自定义的总线协议
5.5Master-SIave通信库实例
5.5.1功能级的FIFO模型
5.5.2BCA级的FIFO实例
习题
第6章TLM设计实例——片上总线
6.1片上总线系统概述
6.2从设备接口
6.3快速存储器的实现
6.4慢速存储器的实现
6.5通用串口的实现
6.6总线主设备接口
6.7总线主设备
6.8总线的实现
6.8.1直接接口的实现
6.8.2非阻塞型接口的实现
6.8.3阻塞型接口的实现
6.8.4助手函数endofelaboration的实现
6.8.5助手函数get_slave的实现
6.8.6助手函数get_request的实现
6.8.7助手函数get_nextrequest的实现
6.8.8助手函数clearlocks的实现
6.8.9助手函数handlerequest的实现
6.9仲裁器接口
6.10仲裁器模块的定义
6.11仲裁器策略的实现——函数arbitrate()
习题
第7章SystemC与传统硬件描述语言VHDL/VerilogHDL的比较
7.1SystemC与传统硬件描述语言的关系
7.2SystemC与VHDL的语法等效性
7.2.1ENTITY.ARCHITECTURE与SCMODULE之间的等效性
7.2.2process与method之间的等效性
7.2.3信号定义和端口声明
7.2.4例化和端口映射
7.2.5运算符
7.2.6数据类型
7.3SystemC与VerilogHDL的语法等效性
7.3.1基本构成单元
7.3.2进程
7.3.3时间模型
7.3.4分支控制语句
7.4SystemC与VHDL/VerilogHDL等效的设计实例
7.4.1异步复位的D触发器
7.4.2移位寄存器
7.4.3计数器
7.4.4有限状态机
习题
第8章基于SystemC的验证方法学
8.1SystemC验证标准
8.2与SystemC验证方法学相关的术语
8.3SystemC的验证标准
8.3.1交易器建模的风格
8.3.2动态并发性建模
8.3.3交易处理和记录
8.3.4受约束的随机数产生
8.3.5SCV标准支持的其他用于功能验证的特性
8.4验证实例
习题
第9章SystemC的开发工具
9.1利用SystemC_win编译和仿真SystemC设计
9.2使用WaveViewer查看波形
9.3MATLAB用于System算法模块的验证
9.3.1将MATLAB作为SystemC验证程序的计算引擎
9.3.2MATLAB作为计算引擎的例子
9.3.3MATLAB作为I/O与SystemC验证程序通信
9.3.4MATLAB作为验证I/O的例子
9.3.3两种方法的优缺点
习题
附录部分名词术语英汉对照
参考文献
猜您喜欢

读书导航